Intel logotipasAN 987: dalinis statinis atnaujinimas
Perkonfigūravimo pamoka

Statinio atnaujinimo dalinio perkonfigūravimo pamoka, skirta „Intel® ™ Agilex F-Series FPGA Development Board“

Šioje programos pastaboje parodytas statinis atnaujinimo dalinis perkonfigūravimas (SUPR) Intel ® F serijos FPGA plėtros plokštėje. Dalinis perkonfigūravimas (PR) leidžia dinamiškai perkonfigūruoti dalį Intel FPGA, o likusi FPGA veikia toliau. PR pritaiko kelias asmenybes tam tikrame regione jūsų dizaine, nedarant įtakos darbui už šio regiono ribų. Ši metodika suteikia tokį pranašumątages sistemose, kuriose kelios funkcijos laiko dalijimosi FPGA ištekliais:

  • Leidžia iš naujo konfigūruoti vykdymo laiką
  • Padidina dizaino mastelį
  • Sumažina sistemos prastovos laiką
  • Palaiko dinamines laiko multipleksavimo funkcijas projektuojant
  • Sumažina sąnaudas ir energijos sąnaudas efektyviai išnaudojant lentos erdvę

Kas yra dalinis statinio atnaujinimo konfigūravimas?

Tradiciniame PR bet koks statinio regiono pasikeitimas reikalauja iš naujo kompiliuoti kiekvieną asmenį. Tačiau naudodami SUPR galite apibrėžti specializuotą regioną, leidžiantį keisti, nereikalaujant iš naujo kompiliuoti asmenybių. Šis metodas yra naudingas tam tikrai dizaino daliai, kurią galbūt norėsite pakeisti, kad sumažintumėte riziką, tačiau tam niekada nereikia iš naujo konfigūruoti vykdymo laiko.

1.1. Mokymosi reikalavimai
Šiai mokymo programai reikia:

  • Pagrindinės žinios apie Intel Quartus® Prime Pro Edition FPGA diegimo eigą ir projektą files.
  •  „Intel Quartus Prime Pro Edition“ 22.3 versijos diegimas su „Intel Agilex“ įrenginių palaikymu.
  • FPGA diegimui JTAG jungtis su Intel Agilex F-Series FPGA kūrimo plokšte ant stendo.
  • Atsisiųskite informacinį dizainą Files. Susijusi informacija
  • Dalinio perkonfigūravimo vartotojo vadovas
  • Dalinio perkonfigūravimo vadovėliai
  • Dalinės konfigūracijos internetinis mokymas

Intel korporacija. Visos teisės saugomos. „Intel“, „Intel“ logotipas ir kiti „Intel“ ženklai yra „Intel Corporation“ arba jos dukterinių įmonių prekių ženklai. „Intel“ garantuoja savo FPGA ir puslaidininkinių produktų veikimą pagal dabartines specifikacijas pagal standartinę „Intel“ garantiją, tačiau pasilieka teisę bet kuriuo metu be įspėjimo keisti bet kokius gaminius ir paslaugas. „Intel“ neprisiima jokios atsakomybės ar įsipareigojimų, kylančių dėl bet kokios čia aprašytos informacijos, produkto ar paslaugos taikymo ar naudojimo, išskyrus atvejus, kai „Intel“ aiškiai sutiko raštu. „Intel“ klientams patariama įsigyti naujausią įrenginio specifikacijų versiją prieš pasikliaujant bet kokia paskelbta informacija ir prieš užsakant produktus ar paslaugas. *Kiti pavadinimai ir prekės ženklai gali būti laikomi kitų nuosavybe.
Užregistruotas ISO 9001: 2015
1.2. Nuorodų dizainas baigtasview
Šis pamatinis dizainas susideda iš vieno 32 bitų skaitiklio. Plokštės lygyje dizainas prijungia laikrodį prie 50 MHz šaltinio, o tada prijungia išvestį prie keturių plokštės šviesos diodų. Pasirinkus išvestį iš skaitiklių bitų tam tikra seka, šviesos diodai mirksi tam tikru dažniu. Top_counter modulis yra SUPR sritis.
1 pav. Plokščias etaloninis dizainas

Intel logotipas1.3. Statinio atnaujinimo regionas baigėsiview
Toliau pateiktame paveikslėlyje parodyta PR dizaino, kuriame yra SUPR sritis, blokinė schema. A blokas yra viršutinė statinė sritis. B blokas yra SUPR sritis. C blokas yra PR skaidinys.
2 pav. PR dizainas su SUPR regionu

intel Agilex F-Series FPGA plėtros valdyba – regionas

  • A Top Static Region – apima dizaino logiką, kuri nesikeičia. Norint pakeisti šį regioną, reikia iš naujo kompiliuoti visas susijusias asmenybes. Statinė sritis apima dizaino dalį, kuri nesikeičia jokiam asmeniui. Šiame regione gali būti periferijos ir pagrindinių įrenginių išteklių. Turite užregistruoti visą ryšį tarp SUPR ir PR skaidinių statiniame regione. Šis reikalavimas padeda užtikrinti bet kokių asmenybių laiko uždarymą, atsižvelgiant į statinį regioną.
  • B SUPR regionas – apima tik pagrindinę logiką, kuri gali pasikeisti siekiant sumažinti riziką, tačiau niekada nereikia iš naujo konfigūruoti vykdymo laiko. SUPR regionui taikomi tokie patys reikalavimai ir apribojimai kaip ir PR skaidiniui. SUPR skaidinyje gali būti tik pagrindiniai ištekliai. Todėl SUPR skaidinys turi būti antrinis aukščiausio lygio šakninio skaidinio, kuriame yra projektavimo periferija ir laikrodžiai, skaidinys. Pakeitus SUPR regioną sukuriamas SRAM objektas File (.sof), kuris yra suderinamas su visais esamais sudarytais neapdorotais dvejetainiais failais File (.rbf) files PR skaidiniui C.
  • C PR skaidinys – yra savavališka logika, kurią vykdymo metu galite perprogramuoti naudodami bet kokią dizaino logiką, kuri tinka ir kompiliavimo metu uždaroma.

1.4. Atsisiųskite informacinį dizainą Files
Dalinio perkonfigūravimo mokymo programą galima rasti šioje vietoje: https://github.com/intel/fpga-partial-reconfig
Norėdami atsisiųsti mokymo programą:

  1. Spustelėkite Klonuoti arba atsisiųsti.
  2. Spustelėkite Atsisiųsti ZIP. Išpakuokite failą fpga-partial-reconfig-master.zip file.
  3. Eikite į tutorials/agilex_pcie_devkit_blinking_led_supr poaplankį, kad pasiektumėte nuorodos dizainą.
    Plokščiasis aplankas susideda iš šių elementų files:
    1 lentelė. Standartinis dizainas Files
File Vardas Aprašymas
viršuje. sv Aukščiausio lygio file kuriame yra plokščias projekto įgyvendinimas. Šis modulis sukuria blinking_led antrinį skaidinį ir top_counter modulį.
t op_counter . sv Aukščiausio lygio 32 bitų skaitiklis, tiesiogiai valdantis LED [1]. Užregistruotas skaitiklio išėjimas valdo šviesos diodą [0], taip pat maitina LED [2] ir šviesos diodus [3] per blinking_led modulį.
blinking_led. sdc Apibrėžia projekto laiko apribojimus.
blinking_led. sv Šiame vadove šį modulį konvertuosite į pagrindinį PR skaidinį. Modulis gauna registruotą top_counter modulio išvestį, kuris valdo LED [2] ir LED [3].
blinking_led.qpf „Intel Quartus Prime“ projektas file kuriame yra visų projekto pataisymų sąrašas.
blinking_led . qs f Intel Quartus Prime nustatymai file kuriame yra projekto užduotys ir nustatymai.

Pastaba: Supr aplanke yra visas rinkinys files kuriate naudodami šią programą pastaba. Nurodykite šiuos files bet kuriuo perėjimo momentu.
1.5. Nuorodų dizaino apžvalga
Šie žingsniai apibūdina SUPR su plokščiu dizainu įgyvendinimą:

  • 1 veiksmas: darbo pradžia
  • 2 veiksmas: sukurkite dizaino pertvaras
  • 3 veiksmas: paskirkite vietos ir maršruto parinkimo regionus
  • 4 veiksmas: apibrėžkite asmenis
  • 5 veiksmas: sukurkite pataisas
  • 6 veiksmas: sudarykite bazinę versiją
  • 7 veiksmas: nustatykite PR įgyvendinimo peržiūras
  • 8 veiksmas: pakeiskite SUPR logiką
  • 9 veiksmas: suprogramuokite lentą

3 pav. SUPR kompiliavimo srautas

intel Agilex F-Series FPGA plėtros plokštė – srautas

1.5.1. 1 veiksmas: darbo pradžia
Norėdami nukopijuoti pamatinį dizainą files į savo darbo aplinką ir sukurkite blinking_led plokščią dizainą:

  1. Prieš pradėdami, atsisiųskite informacinį dizainą File5 puslapyje.
  2. Sukurkite agilex_pcie_devkit_blinking_led_supr katalogą savo darbo aplinkoje.
  3. Nukopijuokite atsisiųstus vadovėlius/agilex_pcie_devkit_blinking_led/flat poaplankį į agilex_pcie_devkit_blinking_led_supr katalogą.
  4. „Intel Quartus Prime Pro Edition“ programinėje įrangoje spustelėkite File ➤ Atidarykite projektą ir atidarykite /flat/blinking_led.qpf.
  5. Norėdami sudaryti pagrindinį dizainą, spustelėkite Apdorojimas ➤ Pradėti kompiliavimą. „Timing Analyzer“ ataskaitos atidaromos automatiškai, kai kompiliavimas baigtas. Šiuo metu galite uždaryti laiko analizatorių.

1.5.2. 2 veiksmas: sukurkite dizaino pertvaras
Kiekvienam regionui, kurį norite iš dalies konfigūruoti, sukurkite dizaino skaidinius. Savo projekte galite sukurti bet kokį nepriklausomų skaidinių arba PR regionų skaičių. Atlikite šiuos veiksmus, kad sukurtumėte dizaino skaidinius u_blinking_led egzemplioriui kaip PR skaidiniui ir u_top_counter egzemplioriui kaip SUPR regionui:

  1. Dešiniuoju pelės mygtuku spustelėkite u_blinking_led egzempliorių projektų naršymo priemonėje ir spustelėkite Dizaino skaidinys
    ➤ Perkonfigūruojama. Projektavimo skaidinio piktograma rodoma šalia kiekvieno egzemplioriaus, kuris nustatytas kaip skaidinys.
    4 pav. Dizaino pertvarų kūrimasintel Agilex F serijos FPGA kūrimo plokštė – pertvaros
  2. Pakartokite 1 veiksmą, kad sukurtumėte skaidinį u_top_counter egzemplioriui.
  3. Spustelėkite Priskyrimai ➤ Projektavimo pertvarų langas. Lange rodomos visos projekto projektinės pertvaros.
    5 pav. Dizaino pertvarų langas
    intel Agilex F-Series FPGA plėtros plokštė – langas
  4. Dukart spustelėkite blinking_led skaidinio pavadinimo langelį, kad pervadintumėte jį į pr_partition. Panašiai pervardykite top_counter skaidinį į supr_partition.
    Arba prie blinking_led.qsf pridėjus šias eilutes sukuriami šie skaidiniai:
    set_instance_assignment -name PARTITION pr_partition \ -to u_blinking_led -entity viršuje
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
    set_instance_assignment -name PARTITION supr_partition \ -to u_top_counter -entity top
    set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top

1.5.3. 3 veiksmas: paskirkite vietos ir maršruto parinkimo regionus
Kiekvienai jūsų sukurtai bazinei versijai kompiliatorius naudoja PR skaidinio regiono paskirstymą, kad rezervuotame regione patalpintų atitinkamą asmens branduolį. Atlikite šiuos veiksmus, kad surastumėte ir priskirtumėte PR regioną įrenginio grindų plane, skirtą pagrindinei versijai:

  1. Skirtuke Projekto navigatoriaus hierarchija dešiniuoju pelės mygtuku spustelėkite u_blinking_led egzempliorių, tada spustelėkite Loginio užrakto regionas ➤ Kurti naują loginio užrakto regioną. Regionas rodomas lange Logic Lock Regions.
  2. Nurodykite regioną Width of 5 ir Height of 5.
  3. Stulpelyje Kilmė nurodykite u_blinking_led paskirties vietos koordinates. Kilmė atitinka apatinį kairįjį regiono kampą. Nurodykite kilmę kaip X166_Y199. Kompiliatorius apskaičiuoja (X170 Y203) kaip viršutinę dešinę koordinatę.
  4. Įgalinkite regiono parinktis Rezervuota ir Tik pagrindinė.
  5. Dukart spustelėkite parinktį Routing Region. Pasirodo dialogo langas Logic Lock Routing Region Settings.
  6. Maršruto parinkimo tipui pasirinkite Fiksuota su išplėtimu. Ši parinktis automatiškai priskiria vieną išplėtimo ilgį.
  7. Pakartokite ankstesnius veiksmus, kad skirstiniui u_top_counter priskirtumėte šiuos išteklius:
    • Aukštis-5
    • Plotis-5
    • Kilmė – X173_Y199
    • Maršruto parinkimo regionas – nustatytas išplėtimas, kai išplėtimo ilgis yra vienas.
    • Rezervuota – Įjungta
    • Core-Only – Įjungta
    6 pav. Loginio užrakto regionų langas
    intel Agilex F-Series FPGA plėtros valdyba – regionų langas
    Pastaba: Maršruto parinkimo regionas turi būti didesnis nei paskirties vietos regionas, kad kompiliatoriaus maršruto parinkimui būtų suteikta daugiau lankstumo.tage, kai kompiliatorius nukreipia skirtingus asmenis.
  8. Jūsų paskirties vietos regione turi būti blinking_led logika. Norėdami pasirinkti paskirties vietą suradę mazgą lustų planavimo priemonėje, dešiniuoju pelės mygtuku spustelėkite u_blinking_led regiono pavadinimą lange Logic Lock Regions, tada spustelėkite Rasti mazgą ➤ Rasti lustų planavimo priemonėje.
  9.  Dalyje skaidinių ataskaitos dukart spustelėkite Ataskaitų dizaino skaidiniai. „Chip Planner“ paryškina regioną ir nurodo spalvinius kodus.

7 pav. Lustų planavimo mazgo vieta, skirta blinking_led
intel Agilex F serijos FPGA plėtros valdyba -blinking_ledArba prie blinking_led.qsf pridėjus šias eilutes sukuriami šie regionai:
set_instance_assignment -name PARTITION pr_partition -to \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION "X166 Y199 X170 Y203" -į \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION "X165 Y198 X171 Y204" -į \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -pavadinimas PLACE_REGION "X173 Y199 X177 Y203" -į \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -pavadinimas REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION "X172 Y198 X178 Y204" -to \ u_top_counter
set_instance_assignment -pavadinimas RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. 4 veiksmas: apibrėžkite asmenis

Šis orientacinis dizainas apibrėžia tris atskirus asmenis vienam PR skaidiniui ir vieną SUPR asmenį SUPR regionui. Atlikite šiuos veiksmus, kad apibrėžtumėte ir įtrauktumėte šiuos asmenis į savo projektą. Jei naudojate „Intel Quartus Prime“ teksto rengyklę, išjunkite „Add“. file
prie dabartinio projekto išsaugodami files.

  1. Sukurkite naujus blinking_led_slow.sv, blinking_led_empty.sv ir top_counter_fast.sv SystemVerilog files jūsų darbo kataloge. Patvirtinkite, kad blinking_led.sv jau yra darbo kataloge.
  2.  Įveskite šį SystemVerilog turinį files:
    2 lentelė. Nuorodų dizaino personos SystemVerilog
    File Vardas Aprašymas Kodas
    mirksi_led_lėtai. sv Šviesos diodai mirksi lėčiau laiko skalė 1 ps / 1 ps 'default_nettype none
    modulis blinking_led_slow // laikrodis
    įvesties laido laikrodis, įvesties laido atstatymas, įvesties laidas [31:01 skaitiklis,
    // LED išvesties laido valdymo signalai led_two_on,
    išvesties laidas led_trys_on localparam COUNTER_TAP = 27;
    reg led_two_on_r; koja led_trys_ant_r; priskirti led_two_on = led_two_on_r; priskirti led_trys_įjungta = led_trys_on_r; always_ff @(posedge clock) begin led_dwo_on_r <= counter[COUNTER_TAP]; led_trys_on_r <= skaitiklis[COUNTER_TAP]; pabaigos pabaigos modulis
    blinking_led_empty. sv Šviesos diodai lieka įjungti laiko skalė 1 ps / 1 ps 'default_nettype none module blinking_led_empty( // laikrodžio įvesties laido laikrodis, įvesties laido atstatymas, įvesties laidas [31:01 skaitiklis, // LEC valdymo signalai - išvesties laidas led_two_on, išvesties laidas led_three_on
    tęsėsi…
    File Vardas Aprašymas Kodas
    // LED aktyvus žemas priskirti led_two_on = l'IDO; priskirti led_three_on = 11b0; pabaigos modulis
    top_counter_fast.sv Antrasis SUPR 'laikas 1 ps / 1 ps
    persona Thdefault_nettype none modulis top_counter_fast
    // Valdymo signalai šviesos diodų išvesties laidui led_one_on, išvesties laido [31:0] skaičius, // laikrodžio įvesties laido laikrodis
    ) ; localparam COUNTER TAP = 23; reg [31:0] count_d; priskirti skaičius = count_d; priskirti led_one_on = ount_d[COUNTER_TAP]; always_ff @(posedge clock) begin count_d <= count_d + 2; galas
    .:modulis
  3.  Spustelėkite File ➤ Išsaugoti kaip ir išsaugoti .sv files dabartiniame projekto kataloge.

1.5.5. 5 veiksmas: sukurkite pataisas
PR projektavimo srautas naudoja „Intel Quartus Prime“ programinės įrangos projekto peržiūrų funkciją. Jūsų pradinis dizainas yra pagrindinė versija, kurioje jūs apibrėžiate statines regiono ribas ir perkonfigūruojamas sritis FPGA. Iš pagrindinės versijos kuriate papildomas versijas. Šiose pataisose pateikiami skirtingi PR regionų diegimai. Tačiau visose PR diegimo versijose naudojami tie patys aukščiausio lygio išdėstymo ir maršruto parinkimo rezultatai iš pagrindinės versijos. Norėdami sudaryti PR dizainą, sukuriate kiekvieno asmens PR įgyvendinimo peržiūrą. Be to, kiekvienai versijai turite priskirti dalinio perkonfigūravimo – pagrindinė arba dalinio perkonfigūravimo – asmens diegimo versiją. Šioje lentelėje pateikiamas kiekvienos pataisos versijos pavadinimas ir taisymo tipas. Impl_blinking_led_supr_new.qsf versija yra SUPR asmens diegimas.
3 lentelė. Revizijų pavadinimai ir tipai

Revizijos pavadinimas Revizijos tipas
blinking_led Dalinis perkonfigūravimas – bazė
blinking_led_default Dalinis perkonfigūravimas – asmenybių diegimas
blinking_led_slow Dalinis perkonfigūravimas – asmenybių diegimas
blinking_led_empty Dalinis perkonfigūravimas – asmenybių diegimas
impl_blinking_led_supr_new Dalinis perkonfigūravimas – asmenybių diegimas

1.5.5.1. Bazinės peržiūros nustatymas
Atlikite šiuos veiksmus, kad nustatytumėte blinking_led kaip pagrindinę versiją:

  1. Spustelėkite Projektas ➤ Pataisymai.
  2. Revizijos tipui pasirinkite Dalinis perkonfigūravimas – bazė.

intel Agilex F-Series FPGA plėtros valdyba – peržiūrosŠis veiksmas prideda prie blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Diegimo peržiūrų kūrimas
Norėdami sukurti diegimo pataisas, atlikite šiuos veiksmus:

  1. Dialogo lange Revisions dukart spustelėkite < >.
  2. Skiltyje Versijos pavadinimas nurodykite blinking_led_default ir pasirinkite blinking_led laukelyje Pagrįsta taisymu.
  3. Revizijos tipui pasirinkite Dalinis perkonfigūravimas – asmens diegimas.
  4. Išjunkite parinktį Nustatyti kaip dabartinę versiją.
  5. Pakartokite 2–5 veiksmus, kad nustatytumėte kitų diegimo versijų peržiūros tipą:
Revizijos pavadinimas Revizijos tipas Remiantis Revisionn
blinking_led_slow Dalinis perkonfigūravimas – asmenybių diegimas blinking_led
blinking_led_empty Dalinis perkonfigūravimas – asmenybių diegimas blinking_led
impl_blinking_led_supr_new Dalinis perkonfigūravimas – asmenybių diegimas blinking_led

8 pav. Diegimo pataisų kūrimas

intel Agilex F-Series FPGA plėtros valdyba – įgyvendinimo peržiūrosKiekvienas .qsf file dabar yra tokia užduotis:
set_global_assignment -name REVISION_TYPE PR_IMPL
nustatyti_pavyzdžio_priskyrimas -pavadinimas ENTITY_REBINDING vietos_žymėjimas -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. 6 veiksmas: sudarykite bazinę versiją
Atlikite šiuos veiksmus, kad sudarytumėte bazinę versiją ir eksportuotumėte statinius ir SUPR regionus, kad vėliau galėtumėte naudoti diegiant naujas PR asmenybes:

  1. Nustatykite blinking_led kaip dabartinę versiją, jei dar nenustatyta.
  2. Projektavimo pertvarų lange spustelėkite (...) šalia tolimiausio dešiniojo stulpelio ir įgalinkite Post Final Export File stulpelyje. Taip pat galite išjungti arba pakeisti stulpelių tvarką.
  3. Norėdami automatiškai eksportuoti galutinę PR diegimo dizaino skaidinių momentinę kopiją po kiekvieno kompiliavimo, nurodykite toliau nurodytus veiksmus, skirtus po galutinio eksportavimo File šakninių ir SUPR skaidinių parinktys. .qdb files pagal numatytuosius nustatymus eksportuoti į projekto katalogą.
    • root_partition – mirksi_led_static.qdb
    • supr_partition – mirksi_led_supr_partition_final.qdb
    9 pav. Automatinis eksportavimas projektavimo pertvarų langeintel Agilex F serijos FPGA plėtros plokštė – skaidinių langasArba šios .qsf priskyrimai automatiškai eksportuoja skaidinius po kiekvieno kompiliavimo:
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - subjekto viršus
    set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top
  4. Norėdami sudaryti blinking_led bazinę versiją, spustelėkite Apdorojimas ➤ Pradėti
    Kompiliacija. Arba galite naudoti šią komandą, kad sudarytumėte šią versiją:
    quartus_sh –flow kompiliavimas blinking_led -c blinking_led Po sėkmingo kompiliavimo files rodomi projekto kataloge:
    • blinking_led.sof
    • blinking_led.pr_partition.rbf
    • blinking_led.supr_partition.rbf
    • blinking_led_static.qdb
    • blinking_led_supr_partition_final.qdb

1.5.7. 7 veiksmas: nustatykite PR įgyvendinimo peržiūras
Prieš generuodami PR bitų srautą įrenginio programavimui, turite paruošti PR diegimo peržiūras. Ši sąranka apima statinio regiono .qdb pridėjimą file kaip šaltinis file kiekvienai įgyvendinimo peržiūrai. Be to, turite nurodyti
atitinkamas PR regiono subjektas. Atlikite šiuos veiksmus, kad nustatytumėte PR diegimo peržiūras:

  1.  Norėdami nustatyti dabartinę versiją, spustelėkite Projektas ➤ Revizijos, kaip versijos pavadinimą pasirinkite blinking_led_default, tada spustelėkite Nustatyti dabartinį. Arba galite pasirinkti dabartinę versiją pagrindinėje Intel Quartus Prime įrankių juostoje.
  2. Norėdami patikrinti teisingą šios diegimo versijos šaltinį, spustelėkite Projektas ➤ Pridėti / pašalinti Files projekte. Patvirtinkite, kad blinking_led.sv file pasirodo file sąrašą.intel Agilex F serijos FPGA plėtros plokštė – skaidinių langas 1
  3. Norėdami patikrinti teisingą šaltinį file diegimo peržiūroms spustelėkite Projektas ➤ Pridėti / pašalinti files projekte ir pridėkite šį šaltinį files įgyvendinimo peržiūroms. Jei yra, pašalinkite blinking_led.sv iš projektų sąrašo files.
    Iįgyvendinimo Pataisos pavadinimas Šaltinis File
    blinking_led_empty blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. Nustatykite blinking_led_default kaip dabartinę versiją.
  5. Norėdami nurodyti .qdb file kaip šakninio skirsnio šaltinį, spustelėkite Priskyrimai ➤ Projektavimo skaidinių langas. Dukart spustelėkite skirsnių duomenų bazę File langelį ir nurodykite blinking_led_static.qdb file.
  6. Panašiai nurodykite blinking_led_supr_partition_final.qdb kaip skaidinio duomenų bazę File supr_partition.

    10 pav.intel Agilex F-Series FPGA plėtros valdyba – nurodykiteArba naudokite toliau nurodytas .qsf priskyrimus, kad nurodytumėte .qdb:
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
    set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_supr_partition_final.qdb -to u_top_counter

  7. Skyrių projektavimo lange spustelėkite (…) šalia tolimiausio dešiniojo stulpelio ir įjunkite stulpelį Objekto pakartotinis susiejimas.
  8.  Objekto pakartotinio susiejimo langelyje nurodykite naują objekto pavadinimą PR skaidiniui, kurį keičiate dabartinėje diegimo versijoje. Blinking_led_default įgyvendinimo versijoje objekto pavadinimas yra blinking_led. Tokiu atveju jūs perrašote egzempliorių u_blinking_led iš bazinės versijos kompiliavimo nauju objektu blinking_led. Norėdami sužinoti apie kitus įgyvendinimo pakeitimus, žr. šią lentelę:

    Peržiūra Objekto pakartotinė įrišimo vertė
    blinking_led_slow blinking_led_slow
    blinking_led_empty blinking_led_empty

    11 pav. Objekto perrišimasintel Agilex F-Series FPGA plėtros plokštė – perrišimasArba galite naudoti šias eilutes kiekvienos versijos .qsf, kad nustatytumėte priskyrimus:
    ##blinking_led_default.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
    ##blinking_led_slow.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
    ##blinking_led_empty.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led

  9. Ištrinkite rezervuotos vietos tekstą iš elemento pakartotinio surišimo langelio, skirto supr_partition.
  10. Norėdami sudaryti dizainą, spustelėkite Apdorojimas ➤ Pradėti kompiliavimą. Arba naudokite šią komandą šiam projektui kompiliuoti: quartus_sh –flow kompiliavimas blinking_led –c blinking_led_default
  11. Pakartokite 4–11 veiksmus, kad paruoštumėte ir sukompiliuotumėte blinking_led_slow ir blinking_led_empty diegimo versijas.

1.5.8. 8 veiksmas: pakeiskite SUPR logiką
Norėdami pakeisti SUPR skaidinio logikos funkcionalumą, turite pakeisti SUPR skaidinio šaltinį. Atlikite šiuos veiksmus, kad pakeistumėte u_top_counter egzempliorių SUPR skaidinyje objektu top_counter_fast.

  1. Norėdami nustatyti SUPR diegimo versiją kaip dabartinę, spustelėkite Projektas ➤ Pataisymai ir nustatykite impl_blinking_led_supr_new kaip dabartinę versiją arba pasirinkite
    „Intel Quartus Prime“ pagrindinėje įrankių juostoje.
  2. Norėdami patikrinti teisingą šaltinį file diegimo peržiūrai spustelėkite Projektas ➤
    Pridėti / pašalinti files projekte ir patikrinkite, ar top_counter_fast.sv yra impl_blinking_led_supr_new diegimo versijos šaltinis. Jei yra, pašalinkite top_counter.sv iš projektų sąrašo files.intel Agilex F-Series FPGA plėtros valdyba – užduotys
  3. Norėdami nurodyti .qdb file susietą su šakniniu skaidiniu, spustelėkite Priskyrimai ➤ Kurti skaidinių langą, tada dukart spustelėkite skaidinių duomenų bazę. File langelyje nurodyti blinking_led_static.qdb.
    Arba naudokite šią komandą, kad tai priskirtumėte file: set_instance_assignment -name QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
  4. Pr_partition langelyje Entity Re-binding nurodykite atitinkamą objekto pavadinimą. Dėl šio buvample, nurodykite blinking_led_empty objektą. Tokiu atveju perrašote egzempliorių u_blinking_led iš bazinės versijos kompiliavimo nauju objektu linking_led_empty. Dabar .qsf yra ši eilutė:
    ##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led
  5. Skilties supr_partition langelyje Entity Re-binding nurodykite objektą top_counter_fast. top_counter_fast yra statinio objekto, kuris pakeičia u_top_counter, kai užbaigiate SUPR, pavadinimas.intel Agilex F-Series FPGA plėtros valdyba – SUPR##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
  6. Norėdami sudaryti dizainą, spustelėkite Apdorojimas ➤ Pradėti kompiliavimą. Arba naudokite šią komandą, kad sukurtumėte šią projekto versiją: quartus_sh –flow kompiliavimas blinking_led –c \ impl_blinking_led_supr_new

1.5.9. 9 veiksmas: suprogramuokite lentą
Norėdami prijungti ir užprogramuoti „Intel Agilex F-Series FPGA“ kūrimo plokštę, atlikite šiuos veiksmus.

  1. Prijunkite maitinimo šaltinį prie „Intel Agilex F-Series FPGA“ kūrimo plokštės.
  2. Prijunkite USB kabelį tarp kompiuterio USB prievado ir USB programavimo įrangos kūrimo plokštėje.
  3. Atidarykite „Intel Quartus Prime“ programinę įrangą, tada spustelėkite Įrankiai ➤ Programuotojas. Žr. Plėtros lentos programavimas.
  4. Programuotoje spustelėkite Hardware Setup, tada pasirinkite USB-Blaster.
  5. Spustelėkite Auto Detect, tada pasirinkite AGFB014R24B įrenginį.
  6.  Spustelėkite Gerai. „Intel Quartus Prime“ programinė įranga aptinka ir atnaujina programuotoją su trimis plokštėje esančiais FPGA įrenginiais.
  7.  Pasirinkite AGFB014R24B įrenginį, spustelėkite Keisti File, ir įkelkite blinking_led_default.sof file.
  8. Įgalinti blinking_led_default.sof programą/konfigūruoti file.
  9. Spustelėkite Pradėti ir palaukite, kol eigos juosta pasieks 100%.
  10.  Stebėkite, kaip mirksi plokštės šviesos diodai.
  11. Norėdami užprogramuoti tik PR regioną, dešiniuoju pelės mygtuku spustelėkite blinking_led_default.sof file Programuotoje ir spustelėkite Pridėti PR programavimą File. Pasirinkite blinking_led_slow.pr_partition.rbf file.
  12. Išjungti blinking_led_default.sof programavimą/konfigūravimą file.
  13.  Įgalinti blinking_led_slow.pr_partition.rbf programavimą/konfigūravimą file, tada spustelėkite Pradėti. Stebėkite, kaip toliau mirksi šviesos diodas [0] ir LED [1]. Kai eigos juosta pasiekia 100%, LED[2] ir LED[3] mirksi lėčiau.
  14. Norėdami iš naujo užprogramuoti PR sritį, dešiniuoju pelės mygtuku spustelėkite .rbf file Programuotoje, tada spustelėkite Keisti PR programavimą File.
  15.  Pasirinkite .rbf files, kad kiti du asmenys stebėtų elgesį lentoje. Įkeliamas blinking_led_default.pr_partition.rbf file sukelia šviesos diodų mirksėjimą pradiniu dažniu ir įkeliamas blinking_led_empty.pr_partition.rbf file todėl šviesos diodai lieka įjungti. 17. Norėdami pakeisti SUPR logiką, pakartokite 7 veiksmą aukščiau, kad pasirinktumėte impl_blinking_led_supr_new.sof. Pakeitus tai file, LED [0:1] dabar mirksi greičiau nei anksčiau. Kitas PR .rbf files taip pat suderinami su naujuoju .sof.
    Pastaba: Assembler generuoja .rbf file SUPR regionui. Tačiau neturėtumėte to naudoti file perprogramuoti FPGA vykdymo metu, nes SUPR skaidinys nesukuria fiksavimo tilto, PR regiono valdiklio ir kitos logikos visoje sistemoje. Kai atliekate SUPR skaidinio logikos pakeitimus, turite perprogramuoti visą .sof file iš SUPR įgyvendinimo peržiūros rinkinio.

12 pav. Kūrimo lentos programavimas
intel Agilex F-Series FPGA plėtros valdyba – lenta1.5.9.1. PR programavimo klaidų šalinimas
Tinkamo „Intel Quartus Prime Programmer“ ir prijungtos techninės įrangos sąrankos užtikrinimas padeda išvengti bet kokių PR programavimo klaidų.
Jei susiduriate su bet kokiomis PR programavimo klaidomis, žr. „PR programavimo klaidų šalinimas“, esantį „Intel Quartus Prime Pro Edition“ vartotojo vadove: dalinis konfigūravimas, kad gautumėte nuoseklius trikčių šalinimo patarimus.
Susijusi informacija

PR programavimo klaidų šalinimas

1.5.10. SUPR skaidinio keitimas
Galite modifikuoti esamą SUPR skaidinį. Pakeitę SUPR skaidinį, turite jį sukompiliuoti, sugeneruoti .sof file, ir užprogramuokite lentą, nesudarydami kitų asmenybių. Pavyzdžiui,ample, atlikite šiuos veiksmus, kad pakeistumėte modulį top_counter_fast.sv, kad skaičiuotumėte greičiau:

  1. Nustatyti impl_blinking_led_supr_new kaip dabartinę versiją.
  2.  Top_counter_fast.sv file, pakeiskite teiginį count_d + 2 į count_d + 4.
  3.  Vykdykite šias komandas, kad iš naujo sintezuotumėte SUPR bloką ir sugeneruotumėte naują .sof file: quartus_sh – srauto kompiliavimas blinking_led \ -c impl_blinking_led_supr_new
    Gautame .sof dabar yra naujas SUPR regionas, o numatytajai (įjungimo) personai naudojama blinking_led.

1.6. Dokumento AN 987 taisymo istorija: Statinis naujinimas Dalinio perkonfigūravimo mokymo programos taisymo istorija

Dokumento versija Intel Quartus Prime versija Pakeitimai
2022.10.24 22. Pirminis dokumento išleidimas.

Atnaujinta „Intel® Quartus®Prime Design Suite“: 22.3

Atsakymai į populiariausius DUK:

Siųsti Atsiliepimus

K Kas yra dalinis statinio atnaujinimo konfigūravimas

Statinio naujinimo dalinis konfigūravimas 3 puslapyje

K Ko man reikia šiai mokymo programai?

Mokymo programos reikalavimai 3 puslapyje

K Kur galiu gauti pamatinį dizainą?

Atsisiuntimo informacinis dizainas File5 puslapyje

K Kaip sukurti SUPR dizainą?

Nuorodų dizaino apžvalga 6 puslapyje

K Kas yra PR persona?

Apibrėžkite asmenis 10 puslapyje

K Kaip pakeisti SUPR logiką? A Pakeiskite SUPR logiką 16 puslapyje

A Pakeiskite SUPR logiką 16 puslapyje

K Kaip užprogramuoti lentą?

A Programuokite lentą 18 puslapyje

K. Kokios yra žinomos PR problemos ir apribojimai?

A Intel FPGA palaikymo forumai: PR

intel Agilex F-Series FPGA plėtros plokštė – piktograma Internetinė versija
intel Agilex F serijos FPGA plėtros plokštė – 154 piktograma Siųsti Atsiliepimus

ID: 749443
AN-987
Versija: 2022.10.24

Dokumentai / Ištekliai

intel Agilex F serijos FPGA plėtros valdyba [pdfVartotojo vadovas
Agilex F serija, Agilex F serijos FPGA kūrimo valdyba, FPGA kūrimo taryba, kūrimo taryba, valdyba

Nuorodos

Palikite komentarą

Jūsų el. pašto adresas nebus skelbiamas. Privalomi laukai pažymėti *